+86 0755-83044319

Technical Blogs

/
/

EUV Lithography Factory? Introduction to Engineering Technology and Scientific Principles of Chip Manufacturing and Lithography (V)

release time:2023-10-13Author source:SlkorBrowse:1225

As we all know, the industry later improved the situation by using a 13.5nm EUV light source, hence the name EUV lithography. Why did they directly jump from a 193nm light source to 13.5nm? Shouldn't there have been gradual reductions like 436-365-248-193nm?

Originally, this was indeed the idea, and the industry (mainly Nikon in Japan) tried a 157nm F2 (fluorine gas) excimer laser light source, and the lithography machine was also developed. The tragedy was that light with a wavelength of 157nm is easily absorbed by various materials, resulting in poor exposure performance. It must be operated in a vacuum, which is very troublesome. Therefore, the industry abandoned the 157nm wavelength lithography machine, as similar bands of light also suffered from severe absorption issues by materials. Light sources need to pass through reflection and refraction, through air, mirrors, and objective lenses to reach the wafer, and there must still be enough energy for exposure, otherwise the power would be insufficient after absorption.

Eventually, a 13.5nm EUV light source was discovered, which, after reflection, had sufficient intensity for lithography. This was the result of experimentation and a long exploration process.

As mentioned earlier, industrial EUV lithography machines need to expose quickly and accurately, with the light-sensitive resist reacting within a very short time of 0.1 seconds. The power of the light must also be sufficient. It is extremely challenging for the intensity to arrive at the wafer when the stage moves it to a specific position. Currently, industrial EUV lithography machines use carbon dioxide lasers shining on tin droplets to generate EUV light, which then undergoes complex optical paths and reflections to reach the wafer.

This is the LPP-EUV (Laser-produced Plasma) light source. Carbon dioxide lasers are used to irradiate continuously dropping tin droplets, generating a limited amount of EUV light. Then, through 11 mirrors for reflection and filtration, the EUV light is ultimately directed onto the wafer. Since the EUV light generated by tin droplets is not abundant and contains various impurities, the process of filtering, focusing, and correcting the beam is very complex, requiring a high-level lens system.

It is said that the mirrors used in EUV lithography machines are among the smoothest objects in the world, exceeding the surface of a neutron star. Moreover, the mirrors need to be extremely large, with a diameter exceeding one meter. If we were to enlarge the mirror to the size of the Earth, the surface roughness would be only 0.2 millimeters. This is because mirror reflection amplifies any errors, so the mirror surface must be extremely smooth and flat.

Even after multiple reflections, the beam still retains 70% of its energy each time; after 11 reflections, only 2% of the original energy remains. Therefore, the LPP-EUV light source requires generating enormous amounts of energy. ASML's EUV lithography machines rely on the light source supplied by the American company Cymer (which is also the technological source of EUV lithography machines that China lacks supply of). It requires firing high-power carbon dioxide lasers at tin droplets 50,000 times per second, posing significant technological challenges.

As a result, EUV lithography machines are much more challenging than DUV lithography machines. DUV light sources are directly produced by excimer lasers, while EUV light sources can only be indirectly generated. However, the lens assembly and alignment system of DUV lithography machines are also very difficult, with high precision requirements. EUV lithography has even higher precision requirements, but compared to DUV lithography, the main complexity lies in the light source system. Most of the energy from the light source is wasted, and there are additional heat dissipation issues, leading to a slew of troubles such as air and water cooling.

There is also bad news: the power of EUV lithography machine light sources cannot go higher than 500W. EUV lithography machines already face challenges when processing 3nm chips due to their high cost, resulting in reduced customer orders and insufficient demand. Going even smaller is not just a matter of cost; it is the issue of insufficient power from the light source.

The industry needs to find better light sources, and SSMB-EUV light sources are one of the options.


To be continued...

Service hotline

+86 0755-83044319

Hall Effect Sensor

Get product information

WeChat

WeChat