+86 0755-83044319

Events

/
/

Official report from the United States: In-depth analysis of the current situation, demand, and development of EUV lithography (VIII)

release time:2023-10-14Author source:SlkorBrowse:1278

2.5.2. Synchrotron: NIST SURF III

In addition to the laboratory-scale EUV light used by NIST to analyze semiconductor components, Dr. Steve Grantham also presented a wealth of resources at NIST's Synchrotron Ultraviolet Radiation Facility (SURF III) during the working group meeting.

When charged particles move along a curved path, they emit synchrotron radiation. Since most accelerators use magnetic fields to bend particles' trajectories, synchrotron radiation is also known as magnetobremsstrahlung. The emitted spectrum ranges from microwave (harmonics of the driving RF field) to X-ray spectral regions and the radiation is vertically collimated and polarized. If the electron energy E, bending radius ρ, electron current IB, angle Ψ0 relative to the orbital plane, distance d to the tangent point, vertical angle Δψ, and horizontal angle Δθ are known, the synchrotron radiation output can be calculated. The output power of SURF is shown in the figure.

640 (17).png

Figure 17 compares the synchrotron radiation spectra emitted by SURF at 416MeV, 380MeV, 331MeV, 284MeV, 234MeV, 183MeV, 134MeV, and 78MeV with the spectra of a 3000K blackbody and a deuterium lamp.
Conversely, NIST's Ultraviolet Radiation Group utilizes SURF III as a stable light source for radiation measurements and research. SURF covers a wavelength range from far-infrared to soft X-ray. Table 1 provides an overview of the current capabilities and future plans for NIST SURF III. Synchrotron light sources are not suitable for extreme ultraviolet (EUV) sources in high-volume manufacturing (HVM) environments. Nevertheless, synchrotron facilities can be advantageous as they offer flexibility to test various parameters to assist the EUVL industry in achieving HVM goals, as discussed earlier in this report (Sections 2.2 and 2.4.2). It is important to note that there may be overlapping and inconsistent definitions and terminology for certain wavelength systems, so the ISO21348 standard should be referenced as a general guideline.

640 (18).png

During the working group meeting, research examples on mirror contamination during EUV radiation illumination in the presence of contaminants and/or cleaning materials were presented. Since 2000, NIST has been a leading center for studying EUVL optical contamination and has investigated the degradation of commonly used filters in satellites. Recently, the NIST Sensor Science Division conducted similar studies for semiconductor manufacturing applications. NIST currently has three facilities dedicated to various aspects of optical contamination on two beamlines (Beamline 1 and Beamline 8). The ability to study contamination directly relates to the discussion on the importance of extending the lifetime of collector mirrors mentioned earlier in this report (see Section 2.4.2). It is recommended to support the continued development of current facilities to support the next generation of EUVL manufacturing in the semiconductor industry.


2.5.3: Atom Probe Tomography (APT)

Atom Probe Tomography (APT) is the only technique capable of providing sub-nanometer isotopic resolution atomic-scale elemental mapping in 3D across the periodic table for any element. Figure 18 illustrates the operation of APT. For further background information on APT, readers can refer to recent reviews on this topic.

640 (19).png

Figure 18. Photograph of the Atom Probe Tomography (APT) at NIST in Boulder, Colorado (top) and schematic of APT operation (bottom). Source: NIST.

Commercial APT instruments utilize near-ultraviolet (NUV: 3.5 eV) or deep ultraviolet (DUV: 4.8 eV) laser radiation, which is below the work function of many materials and the ionization energy of most elements. Therefore, these instruments may operate by subjecting the studied samples to significant heating. In fact, data from NUV instruments for organic materials analysis often exhibit complex and problematic fragment patterns, showing evidence of aggregation during the in situ evaporation process, and cannot be directly interpreted as atomic-scale results. In contrast, EUV (20-90 eV) radiation possesses sufficient energy to ionize atoms and molecules on the sample surface, potentially generating smaller and directly interpretable fragment patterns. The NIST approach involves applying EUVAPT to the study of thin film photoresists to search for nanoscale compositional fluctuations that may contribute to the stochastic nature of lithographic irregularities, including line edge roughness (LER). Thus, EUVAPT represents a crucial metrological advancement in investigating stochastic events related to the processing and chemical composition of photoresists (see Section 2.4.1). It is noteworthy that this method, as well as the comparison of results between EUVAPT and traditional NUV and DUVAPT instruments, is discussed in the preceding Section 2.3.


Survey Results and Recommendations

The technical conclusions of the working group meetings are included in Section 2 of each subproject. The key properties extracted from experiments will facilitate the development of modeling and simulation techniques, driving high-volume, throughput, and scale for the semiconductor industry. NIST possesses unique EUVL experimental metrology capabilities and theoretical simulation programs. Therefore, industry attendees at the working group meetings encouraged funding NIST-proposed instrument creation or using existing instruments to provide ultra-accurate data to the US industry. NIST scientists should not only be industrial design engineers but should combine their field knowledge with insights into EUVL through collaboration to achieve mutually beneficial outcomes; knowledge transfer must align with the mission of the funding. Industry has a way of supporting domestic interests, but NIST's scientific and management leaders must understand how to adjust any newly created competitive advantage accordingly. Established controlled dissemination methods such as CRADA, SRD, and SRM should be considered.

From a project perspective, the working group meetings emphasized how the international competition landscape for EUVL leads to the need for non-disclosure agreements (NDAs) for in-depth technical conversations with NIST researchers. Therefore, all working group meeting attendees suggested streamlining the NDA process between NIST researchers and industry, with a turnaround time of under two months starting from project initiation. NIST staff and management should be educated on the NDA process to execute steps correctly.

Finally, it is evident from this working group meeting that face-to-face interaction produces fruitful discussions and actionable next steps. Future interactions among stakeholders can transition from working group meetings to symposia to consortia. As procedural complexity increases, so do costs (over $10,000-$100,000) and labor hours (over 40-200 hours). Therefore, scheduling future activities at frequently attended professional conferences such as SPIE or the Optical Society of America (OSA) can help ease costs and efforts.

Service hotline

+86 0755-83044319

Hall Effect Sensor

Get product information

WeChat

WeChat