+86 0755-83044319

Events

/
/

Official report from the United States: In-depth analysis of the current situation, demand, and development of EUV lithography (IV)

release time:2023-10-14Author source:SlkorBrowse:1253

NIST is currently developing an instrument for measuring SoS at higher pressures and temperatures. The SoS instrument is part of Dr. Elizabeth Rasmussen's National Research Council (NRC) postdoctoral fellowship in metal additive manufacturing. A U.S. patent on the design and operation of the instrument was submitted in October 2022. The new metal SoS instrument is an extension of NIST's existing instrument, which operates at lower extreme temperatures and pressures. The new instrument is currently under development and requires additional dedicated resources for tin measurements.

Additionally, transport property data (surface tension, viscosity, etc.) for molten tin under extreme conditions needs to be provided. Meeting this need requires a new customized metrology instrument and associated resources. Both the SoS and transport property instruments will have world-class metrology capabilities, requiring specialized skills for design, manufacturing, and operation.

Once the data is collected, it is useful to correlate it in EoS. An example of such dissemination is transport correlations or thermodynamic EoS. Currently, there are reference correlations for tin's transport properties but no reference EoS. The transport property correlations for tin differ from experimental data by 5-10% and are only valid under atmospheric pressure. This presents an opportunity for advanced metrology. NIST excels at creating reference correlations, EoS, and SRD for refrigerants and natural gas materials through the Refrigerant (Reference Fluid Performance) project, which dates back to the 1990s. Therefore, similar measurements can be performed for metals, especially tin, and develop EoS for SRD to enhance high-fidelity simulations and achieve data-driven EUVL development. This development may involve increasing EUV emission and digital twin creation, and the reference material properties, correlations, and EoS will achieve these. The dissemination of SRD or models to the U.S. industry can be conducted in a controlled manner through NIST's established SRD program, as shown in Figure 6.

Currently, there is no commercial software system that can provide accurate or predictive simulation guidance for liquid-phase metals above atmospheric pressure. This metrology work has received enthusiastic encouragement from the industry members of the working group from the perspective of data users and simulation data pipelines.

640 (5).png

Apart from lacking thermodynamic and transport properties under extreme temperatures and pressures, the structure and piezoelectric data of the components are also limited. This restricts the ability to predict potential material incompatibilities, thus limiting the design of droplet generators. The use of new, high-temperature (>300°C) piezoelectric materials is considered an advantage in the current setup. A member mentioned and shared a recent publication by Tittmann on this topic. Such materials do exist, but they are difficult to obtain and expensive. Therefore, trade-offs must be made.

Metal droplet generators have been used for decades in soldering and powder manufacturing, including alloys of lead, tin, indium, copper, silver, and gold, besides pure tin. Surprisingly, there is a significant knowledge gap regarding the fundamental material properties. While the use of droplet generators outside of EUV lithography falls beyond the scope of the working group, it is beneficial to recognize that advancements in this field may also impact other critical technological areas.

In summary, the optimization of droplet generators in EUVL scanner components is emphasized. The continuous, reliable, and precise operation of droplet generators is explicitly desired, along with progress in their design to improve EUV chip production. Measurement advancements in the basic thermodynamic and transport properties of molten tin under high pressure can establish reference correlations for material characteristics and be disseminated in the form of Standard Reference Data (SRD). Integrating SRD into simulation software enables digital twin simulations of droplet generators. Thus, simulating the environment of droplet generators can assist the current equipment's operation and innovation in future designs, making high-NAEUV scanner systems possible.


2.2 Radiation Measurement for EUV Generation

Industrial EUVL tools primarily involve two types of light: pulsed, high-power infrared (IR) lasers for ionizing molten tin (Sn), and 13.5nm light for photolithography. The former is provided by a specially manufactured carbon dioxide laser (λ=10.6µm), emitting approximately 30kW (average power) with a repetition frequency of 50kHz. The tin ionization process involves two rapid consecutive infrared laser pulses: a pre-pulse to flatten the droplet from a sphere into a disk, and a high-energy main pulse for ionization. The output of the infrared laser is crucial for the development of future photolithography tools because "the EUV power scale requires higher carbon dioxide laser powers". "In current commercial lithography tools, the maximum output power of incoherent 13.5nm EUV light is around 250W, with laboratory demonstrations reaching 600W." The dual-pulse system is illustrated in Figure 7.

640 (6).png

NIST currently supports infrared calibration but does not support the power and pulse conditions required for commercial EUV lithography. While NIST currently provides calibration for the microfabrication industry using 193nm and 248nm wavelengths, calibration within the EUV wavelength range is possible, but only at significantly lower powers than those used in EUVL tools. Under these reduced powers, NIST offers radiation-hardened silicon photodiodes and aluminum oxide photemission detectors, or suitable customer-provided detectors, for calibration as transfer standards. Other optical characterizations within EUV, including filter transmission and spatial uniformity testing, are also performed. Opportunities for metrological research would involve expanding NIST's calibration capabilities to cover input infrared lasers for inferring midrange powers of EUV sources and direct final EUV output, all under conditions relevant to industrial EUVL. By providing traceable metrology for key process parameters, this would have a direct impact on the development of semiconductor manufacturing processes. Additionally, long-term impacts would come from the development of future EUV instruments by providing high-fidelity data to validate EUV-generated simulations.


To be continued...

Service hotline

+86 0755-83044319

Hall Effect Sensor

Get product information

WeChat

WeChat