+86 0755-83044319

Events

/
/

Official report from the United States: In-depth analysis of the current situation, demand, and development of EUV lithography (V)

release time:2023-10-14Author source:SlkorBrowse:1210

Absolute radiometric measurement is important not only for the development of lithography processes and instrument acceptance tests but also for the quantitative understanding of EUV light generation processes. Predictive modeling of this process has lagged behind the development of EUV tools themselves. Improving model accuracy requires accurate experimental data for both model inputs and outputs. In the context of industrial EUV light generation, the development of new radiation metrology tools specific to infrared lasers and 13.5nm light would provide such data.

Industrial collaboration is lacking because the industrial lithography tools being discussed here are the only tools capable of generating the quantities of light that these detectors are designed to measure. Given the number of intellectual properties associated with these tools, it will be important for government and industry representatives to collaborate. Preliminary discussions at working group meetings indicate that the industry is unwilling to discuss relevant details without protection of a confidentiality agreement.


2.3 Plasma Physics and Modeling: Light-Matter Interactions

EUVL uses 13.5nm photons to produce integrated circuits. The primary source of this light is a very hot tin plasma generated by powerful lasers. Laser parameters are adjusted to produce tin ions that predominantly emit near 13.5nm (e.g., Sn10+-Sn15+). While most plasma properties have been explored in numerous experiments, reliable and efficient theoretical support is crucial for developing better tin plasma sources. Discussions on plasma physics, including several presentations throughout the working group meetings, have been consolidated into one section of the report. This section focuses on plasma physics, current technological status, and the needs of the U.S. industry and NIST researchers to advance in this field.

Advanced calculations of the light emission from laser-produced tin plasmas are typically performed using large-scale collisional-radiative (CR) codes that attempt to explain the most important physical processes leading to photon emission. These include electron collisional excitation, de-excitation and ionization, radiative, two-electron and three-body recombination, and autoionization, among others. Additionally, radiation transport and opacity as well as radiation hydrodynamics modeling may become necessary.

Plasma modeling is also limited by the scarcity of information on the fundamental physical mechanisms supporting material interactions. This can result in incremental, rather than transformative, progress in plasma engineering to support high-volume manufacturing. Industry-government laboratory partnerships have been trying to understand and control plasma processes and have reported their successes. Industry simulation experts also point out how complex simulations encompass multiple physical domains over different time scales.

Plasma modeling exists in a state of unresolved questions regarding its practicality in guiding engineering efforts to improve EUV light generation and efficiency. For example, modeling of out-of-band photons as well as emission of ions and electrons can provide predictive insights that would greatly enhance chip production efficiency. Another area of interest is the photon, electron, and chemical interactions in EUV resist, which is an ongoing research interest in the EUVL industry. Therefore, plasma physics modeling is also relevant to EUV optical components. EUV optical devices and materials are covered in the following section (2.4).

In the past three years, the EUVL modeling community has initiated a long-term validation and benchmarking program for CR codes by organizing EUVL code comparison workshops. This approach is modeled after the series of Non-LTE code comparison workshops organized by the NIST Atomic Spectroscopy Group (ASG) for over 25 years. As such, the NIST Atomic Spectroscopy Group (ASG) has been tasked with developing a new EUVL database and a modern comparison tool for intelligent comparison of CR codes for EUVL. So far, the described work has been successfully accomplished without direct financial support, and participants of the two most recent EUVL workshops have used the database and the user interface to compare their software packages. Nevertheless, future workshops are intended to analyze new physical parameters that require extensive modifications to the database and the user interface. Stable funding is therefore needed to indicate a long-term commitment over several years to support the development of this research field.

One of the future directions reported by NIST researchers is to study shorter wavelength schemes based on the availability of primarily multi-layer reflectors. This will produce photons with shorter wavelengths than those generated by heavier elements beyond tin in higher ionization states (so-called "beyond EUV"). Unfortunately, the broader research community lacks sufficient knowledge of the spectra of high-Z elements with 20+ times ionization. The NIST Atomic Spectroscopy Group (ASG) has both experimental and theoretical capabilities to provide the EUVL community with the most accurate information on spectroscopic data for future plasma sources. To this end, the NIST Electron Beam Ion Trap (EBIT) can not only generate ions with charges up to 70+, but can also record the most precise and detailed spectra in EUV and soft spectra within this range. The NIST ASG team also uses state-of-the-art atomic methods and codes for high-precision large-scale spectral calculations. The proven capabilities should meet the demand for accurate data on future plasma sources for EUVL. It should be noted that when industry representatives were informed about the future resources for EUV, they stated that there are no public plans to use materials other than tin as a source in the near term.

In summary, industry stakeholders want to model tin plasma, and the work being done by NIST can support more efforts, but it will require investment. In addition, design engineers and scientists would find it valuable to integrate any such codes into commercial software to optimize EUV chip production capacity. The discussions at the working group meeting were technical, but how to integrate any such code with commercial partners to ensure the interests of U.S. companies was also discussed. Finally, modeling plasma and interactions can help reduce the negative impact of debris on EUVL components, which will be discussed in section 2.4.2. 

2.4 Characterization of Components Interacting with EUV

This section covers two components of EUVL scanners that interact with EUV light: (1) photoresist and (2) collection mirrors. The working group's industry participants raised an overall theme regarding high-volume manufacturing (HVM) requirements. Specifically, HVM interest is mainly focused on increasing the yield and throughput of chips produced using EUVL. The potential metrology solutions currently available to NIST will be discussed in Section 2.


2.4.1 Photoresist: Polymer Property Description

Photoresist processing is critical to the semiconductor industry. Nano-scale patterns manufactured by photolithography are required for all device components and related structures (from channels in field-effect transistors (FETs) to electrical interconnects between devices). The Rent rule states that the number of terminals or interconnects increases with the number of logical blocks or gates. This is related to the unit level, whereas standard units shrink, so do the connections to the units. This concept has been demonstrated in Figure 8.

640 (7).png

Industry participants emphasize the need to actively reduce spacing by utilizing new battery architecture and novel device materials. The conference also discussed the difficulty of achieving high-volume manufacturing (HVM) of new unit structures and materials, with yield being a major issue. For example, given 10^10 contact points per chip and a mold yield of Yd=good die/total die, at least 99% must be achieved. In a system, a third-generation Intel Core processor (quad-core) contains 1.48 billion transistors. If there is a 99% yield, 1.48 million transistors will be defective - the goal is a yield of 99.99996% or 6 Sigma (6A). Yield must be very good, and it depends on process control and defects. If the yield is sufficient, the cost of producing EUV chips is determined by productivity (throughput). In other words, better pitch resolution is necessary but not sufficient for HVM.


To be continued...

Service hotline

+86 0755-83044319

Hall Effect Sensor

Get product information

WeChat

WeChat