+86 0755-83044319

Technical Blogs

/
/

Selectivity and Cost Control in Etching Processes (II)

release time:2023-09-12Author source:SlkorBrowse:1322

From Proof of Concept (POC) to High Volume Manufacturing (HVM)

Bézard described three stages of the development of etching technology:

1. Determining the etching agent, gas, and auxiliary layers required for etching.

2. Demonstrating the ability to completely remove films within the specified range and achieve uniformity on a wafer.

3. Determining how to repeat the process on thousands of chips in high volume manufacturing (HVM) with high yield and low drift.

Usually, skilled etching and integration engineers handle the first two stages of development. The third stage requires engineering expertise, but machine learning can be helpful.

"Machine learning and data analysis are typically only useful in the third stage," said Bézard. "It is very powerful because it can access a large amount of data and understand millions of tiny and simple interactions. Therefore, it is very difficult for the human brain to comprehend, but it is easier for computer programs to manage. However, when dealing with new applications, etching of new materials, or new integration scenarios, it has not shown any improvement over humans."

The use of machine learning is also related to manufacturing costs since the third stage involves thousands of wafers, which is an order of magnitude larger than the number used in the first and second stages.

Barrett Finch, Senior Director of Etch Product Group at Lam Research, described the new process path as obtaining concept validation from nominal process flow and layout and developing one or more working devices on a wafer. This proof of concept (POC) is then transferred to the product development team at the wafer fab to scale up the process and increase yield.

Finch said, "The amount of work required to transform nominal concept verification into profitable revenue-producing products is often underestimated, resulting in a significant gap in profitability. Process window modeling attempts to bridge this gap by introducing fab variations into the early stages of development pathfinding." He suggested that virtual Design of Experiments (DOE) and Monte Carlo-based multi-parameter analysis can be used to simulate expected changes and test the POC.

Finch added, "Process window modeling can answer questions like, 'How much CD or variability do I need to maintain to achieve the lowest device performance and yield?' We completed simulations of over one million virtual wafers for process window testing in a matter of days, which is impossible to achieve in real life."

Multiple parameters affect etch rate, profile, and selectivity. One key factor is temperature. Benjamin Vincent, Senior Manager of Semiconductor Process and Integration Engineering at Lam Research, stated that customers can observe the impact of thermal effects on etching speed, selectivity, and profile. All these parameters affect device yield and wafer fab productivity. He believes that simulation can be particularly useful when a process step has multiple possible configurations (a large process space) or when downstream results of that step are highly unpredictable.

Alex Guermouche, Product Marketing Manager at Lam Research's subsidiary Esgee Technologies, mentioned, "The etching process depends on the surface temperature of the chip, which is influenced by various heat fluxes, including thermal conduction, ion impact energy, surface reactions, and plasma radiation heat flux." He further explained, "Therefore, a plasma model needs to incorporate all these physical characteristics to accurately describe the temperature variation on the chip surface. Process simulation software allows us to model a range of etching attributes, enabling us to obtain better etching results faster and accelerate our customers' ability to increase or optimize production yield."


Achieving precise timing in the etching process

For tighter geometries and thinner films, a balance between etch rate and good control over other operating parameters is required.

Finch stated, "As design rules shrink, many etch processes are transitioning to very fast plasma etch process steps that require highly precise control of all reaction inputs: power, pressure, chemistry, and temperature." He noted that there is also a trend towards optimizing plasma pulse behavior, where specific ion-to-neutral ratios are generated and then the byproducts are cleared. "Advanced modeling of this scenario is critical for scaling up equipment further."

Manufacturers of etching systems have been using modeling software for some time to speed up the development of the next node or ramp up production yield. Given the complexity of this process and all its variables, this isn't surprising.

Finch said, "There simply isn't enough time or enough wafers during the development of the next node technology to conduct all possible process experiments." "The number of sets of etch equipment configurations can be in the millions, or even billions, making full wafer development with all process possibilities impossible."

Of course, all good models are validated on actual chips. Finch said, "A good model should be predictive and it should address specific problems that users want solved." "Actual fab data should reflect recommended results every time a process or design change is recommended based on simulated work. In our case, we were able to accurately predict the impact of process changes using model-based results and quickly solve difficult process and technology development issues."

Tool suppliers are also developing advanced etching processes to more tightly integrate their production lines and turn two-mask level processes (two lithography steps) into one process, thereby simplifying the process and reducing costs.

Bézard said, "Companies haven't retrofitted existing hardware to make Swiss Army knives more sophisticated but have introduced technologies tailored to specific applications, such as new systems to solve cutting-edge problems." The goal is to bring the two lines facing each other closer together, which currently require a line patterning step and then a cutting mask. "What Applied Materials and others are introducing is a method of direct etching in the horizontal direction." This process can also enlarge through-holes.


To be continued...

Service hotline

+86 0755-83044319

Hall Effect Sensor

Get product information

WeChat

WeChat